0

Knihy

Řešené příklady ve VHDL

Řešené příklady ve VHDL

Hradlová pole FPGA pro začátečníky

Autor: Král Jiří
Vydavateľstvo: BEN - technická literatura 2010
EAN: 9788073002572

Hradlová pole představují perspektivní programovatelné součástky, které tvoří samostatný segment polovodičových prvků vedle mnohem známějších mikroprocesorů. Protože vyžadují zcela jiný přístup, neproniklo jejich použití k širšímu okruhu potenciálních zájemců. Kniha ukazuje základní postupy při použití jazyka VHDL v programování hradlových polí.

čítať viac

Pozreli ste si

Zatiaľ ste si u nás nepozreli iné produkty.

Dostupnosť:
Dodanie 1 až 7 dní

Dostupnosť v kníhkupectve:
Academia, Bratislava - nie Pergamen, Senec - nie

10,95 €
 

Viac o knihe

Hradlová pole představují perspektivní programovatelné součástky, které tvoří samostatný segment polovodičových prvků vedle mnohem známějších mikroprocesorů. Protože vyžadují zcela jiný přístup, neproniklo jejich použití k širšímu okruhu potenciálních zájemců.

Kniha ukazuje základní postupy při použití jazyka VHDL v programování hradlových polí. Pro tvorbu programů jsou v maximální míře využity šablony, které spolehlivě vedou k úspěšným řešením. To zabraňuje dopracovat se k neúspěšným výsledkům, které by mohly mít odrazující efekt. Současně jsou zde ukázány postupy obvyklé při simulaci obvodů.

Podstatnou podmínkou pro programování hradlových polí je zvládnutí tzv. vývojového prostředí. Návod pro práci s ním je poskytnut ve formě tutoriálu.

Kniha je určena všem, kteří se rozhodli začít s praktickým programováním hradlových polí. To mohou být jak nadšení amatéři juniorského věku, tak senioři, kteří se touto problematikou dosud nesetkali.

VYDAVATEĽSTVO BEN - technická literatura
ROK VYDANIA 2010
ISBN 978-80-7300-257-2
JAZYK český
POČET STRÁN 128
VÄZBA mäkká
ROZMER 230 × 160 mm
HMOTNOSŤ 207 g

Ďalšie tituly od autora Král Jiří

Řešené příklady ve VHDL

Řešené příklady ve VHDL

Král Jiří

10,95 € BEN - technická literatura 2010